Lam Research Corp LRCX

Morningstar Rating
$81.54 +0.43 (0.53%)
View Full Chart

Company Report

Lam Is a Chip Equipment Titan With Strong Memory Exposure and a Wide Moat

Lam Research is one of the largest providers of wafer fabrication equipment for semiconductors, and we believe its strong portfolio, particularly for memory chip production, should enable it to maintain and increase its large market share. In our view, Lam will benefit from increasing chip complexity over the long term, including progress toward higher memory chip density and high-bandwidth memory. We expect Lam to increase sales in the midsingle digits over the course of market cycles and over the long term.

Price vs Fair Value

LRCX is trading at a 516% premium.
Price
$81.54
Fair Value
$47.00
Uncertainty
High
1-Star Price
$293.11
5-Star Price
$85.90
Economic Moat
Kflw
Capital Allocation
Stnfqrwsgb

Bulls Say, Bears Say

Bulls

Lam is the market share leader in etch, which is the largest segment of the WFE market, and holds clear number-two share in deposition.

Bears

Lam lacks the scale of Applied Materials, its largest competitor, and could face market share losses if it is not able to adequately invest.

News

Trading Information

Previous Close Price
$81.11
Day Range
$80.4983.09
52-Week Range
$57.44113.00
Bid/Ask
$81.60 / $81.70
Market Cap
$10.57 Bil
Volume/Avg
7.6 Mil / 12.9 Mil

Key Statistics

Price/Earnings (Normalized)
27.00
Price/Sales
7.22
Dividend Yield (Trailing)
1.02%
Dividend Yield (Forward)
1.13%
Total Yield
3.69%

Company Profile

Lam Research is one of the largest semiconductor wafer fabrication equipment manufacturers in the world. It specializes in deposition and etch, which entail the buildup of layers on a semiconductor and the subsequent selective removal of patterns from each layer. Lam holds the top market share in etch and holds the clear second share in deposition. It is more exposed to memory chipmakers for DRAM and NAND chips. It counts as top customers the largest chipmakers in the world, including TSMC, Samsung, Intel, and Micron.
Sector
Technology
Industry
Semiconductor Equipment & Materials
Stock Style Box
Large Core
Total Number of Employees
17,200

Competitors

Valuation

Metric
LRCX
AMAT
KLAC
Price/Earnings (Normalized)
27.0023.8733.14
Price/Book Value
12.458.8431.42
Price/Sales
7.226.2910.93
Price/Cash Flow
25.0821.4530.55
Price/Earnings
LRCX
AMAT
KLAC

Financial Strength

Metric
LRCX
AMAT
KLAC
Quick Ratio
1.931.951.40
Current Ratio
2.972.862.15
Interest Coverage
23.1832.6010.73
Quick Ratio
LRCX
AMAT
KLAC

Profitability

Metric
LRCX
AMAT
KLAC
Return on Assets (Normalized)
21.74%22.36%22.18%
Return on Equity (Normalized)
49.33%41.20%104.87%
Return on Invested Capital (Normalized)
30.24%27.93%35.58%
Return on Assets
LRCX
AMAT
KLAC

Semiconductor Equipment & Materials Industry Comparables

1-Day Chart
Name
Price
Morningstar Rating for Stocks
Capital Allocation
Economic Moat
Market Cap
ASML Holding NV
ASMLF
YtzllnbhlFllsg$328.7 Bil
ASML Holding NV ADR
ASML
MbnsqrcnxGtrvm$328.7 Bil
Applied Materials Inc
AMAT
PrbqkvgjFmn$166.5 Bil
KLA Corp
KLAC
LwjwnksmmHvwhk$105.5 Bil
Tokyo Electron Ltd
TOELF
Bxshwsh$79.6 Bil
Tokyo Electron Ltd ADR
TOELY
Phjxkq$79.6 Bil
Advantest Corp ADR
ATEYY
Sxnlj$35.1 Bil
Advantest Corp
ADTTF
Kmtphzt$35.1 Bil
Teradyne Inc
TER
SftpytxhZbnl$21.3 Bil

Sponsor Center