ASM International NV

ASM: XAMS (NLD)
View Stock Summary
Morningstar Rating for Stocks Fair Value Economic Moat Capital Allocation
€173.00WbdqcLvbzzthkyn

ASM International's Atomic Layer Deposition Portfolio is Well-Positioned For Continued Growth

Business Strategy and Outlook

ASM International is a semiconductor equipment vendor that specializes in atomic layer deposition, or ALD, tools, which are used by chipmakers to produce thin and conformal films on wafers with precise control of thickness and composition at an atomic level. Traditionally employed only when absolutely needed because of how costly the equipment is (and lengthy process times), ALD tools are now increasingly being used as a result of continued scaling in line with Moore’s law. Specifically, 3D architectures in nonvolatile memory and advanced logic and foundry process technologies require layers that are highly uniform.

Sponsor Center