Company Reports

All Reports

Stock Analyst Note

Narrow-moat ASM International delivered a solid second quarter with sequential order and revenue acceleration, which came in at EUR 755 million and EUR 706 million, a 8% and 6% sequential increase, respectively. On a year-over-year basis, orders grew 56%, although this is mainly explained by a weak second quarter in 2023 when macroeconomic uncertainty resulted in order postponements. Orders for Taiwan Semiconductor Manufacturing Company’s 2 nanometer logic node remain strong as gate-all-around technology picks up, while demand for high-bandwidth memory also remains strong as storage requirements are increasing for artificial intelligence training and inferencing. Along with many other wafer equipment suppliers, demand in China remains very strong as the country is likely ordering in anticipation of further export control restrictions. However, management expects softening demand across China in the second half of the year. These results are in line with our estimates and we maintain our EUR 520 fair value estimate with shares remaining overvalued by almost 30%.
Stock Analyst Note

Narrow-moat ASM International's first-quarter results were at the top of its guided range at EUR 639 million in sales, with management raising its guidance for the second quarter and full year. They now expect revenue of EUR 670 million-EUR 700 million next quarter, compared with EUR 600 million-EUR 640 million previously, with second-half sales growing around 10% compared with the first half. We are increasing our fair value estimate to EUR 520 per share from EUR 480 to account for slightly higher medium- and long-term forecasts. We assume 8% revenue growth in 2024 to EUR 2.85 billion, in line with guidance. Meanwhile, we expect ASM's results to be slightly above the midpoint of guidance for 2025 and 2027, with revenue of EUR 3.5 billion and EUR 4.7 billion respectively. Our fair value estimate represents a forward price/earnings ratio of 42 times for 2024 and 32 times for 2025. Shares remain 13% overvalued as of April 24 after an 11% increase in early trading driven by the good results.
Company Report

ASM International is a niche provider of wafer fab equipment. The firm has carved out a narrow moat and a solid competitive position in the semiconductor deposition market, more concretely in atomic layer deposition, or ALD, despite lacking the product breadth and scale of other wafer fab equipment providers like Applied Materials or Lam Research. ASM has done this through more than two decades of research and development, which is now paying off as semiconductor transistors keep shrinking and require more atomic layers. ASM’s management knows the deposition market is its strength and has made clear the firm will continue reinvesting in it, both through R&D and mergers and acquisitions, and stay away from other areas where the firm might lack competitive advantages.
Stock Analyst Note

Narrow-moat ASM International's results were in line with expectations; fourth-quarter revenue was EUR 633 million compared with an expected range of EUR 600 million-EUR 640 million, and up 2% sequentially. EBIT was EUR 131.5 million in the quarter, a 20.8% margin, down year on year as ASM has increased research and development and operating expenses, to prepare for the next upward cycle. In line with comments from other semiconductor firms, management expects a relatively flat first-half 2024, with an improvement in the second half, although the extent of it is still unknown. ASM sales have grown strongly since 2021, so we expect 2024 to be a transition year, followed by a strong 2025 as fabs incorporate more atomic layer deposition equipment due to the transition to gate-all-around transistors. We expect EUR 3.4 billion in revenue for 2025, compared with management’s guidance of EUR 3.0 million-EUR 3.6 billion, and a 27% operating margin. ASM is well positioned to benefit from the following upward cycle as the firm has recently doubled its capacity by expanding its Singapore facility. We are raising our fair value estimate slightly to EUR 480 from EUR 470 after adjusting for the time value of money. Shares remain overvalued, trading at around EUR 560.
Company Report

ASM International is a niche provider of wafer fab equipment. The firm has carved out a narrow moat and a solid competitive position in the semiconductor deposition market, more concretely in atomic layer deposition, or ALD, despite lacking the product breadth and scale of other wafer fab equipment providers like Applied Materials or Lam Research. ASM has done this through more than two decades of research and development, which is now paying off as semiconductor transistors keep shrinking and require more atomic layers. ASM’s management knows the deposition market is its strength and has made clear the firm will continue reinvesting in it, both through R&D and mergers and acquisitions, and stay away from other areas where the firm might lack competitive advantages.
Stock Analyst Note

We relaunch coverage of ASM International with a EUR 470 fair value estimate, narrow moat rating supported by intangible assets and switching costs, and an Exemplary Morningstar Capital Allocation Rating. ASM is well positioned for double-digit revenue and EBIT growth during the next decade, but we view the shares as overvalued. Our fair value estimate implies a 32 times enterprise value/EBIT multiple in 2024.
Company Report

ASM International is a niche provider of wafer fab equipment. The firm has carved out a narrow moat and a solid competitive position in the semiconductor deposition market, more concretely in atomic layer deposition, or ALD, despite lacking the product breadth and scale of other wafer fab equipment providers like Applied Materials or Lam Research. ASM has done this through more than two decades of research and development, which is now paying off as semiconductor transistors keep shrinking and require more atomic layers. ASM’s management knows the deposition market is its strength and has made clear the firm will continue reinvesting in it, both through R&D and mergers and acquisitions, and stay away from other areas where the firm might lack competitive advantages.
Company Report

ASM International is a semiconductor equipment vendor specialized in atomic layer deposition, or ALD, tools, which are used by chipmakers to produce thin and conformal films on wafers with precise control of thickness and composition at an atomic level. Traditionally employed only when absolutely needed because of how costly the equipment is (and lengthy process times), ALD tools are now increasingly being used as a result of continued scaling in line with Moore’s law. Specifically, 3D architectures in nonvolatile memory and advanced logic and foundry process technologies require layers that are highly uniform.
Stock Analyst Note

We are placing ASM International under review temporarily, and we expect to resume coverage in the near future.
Stock Analyst Note

ASM International reported first-quarter results ahead of our expectations, as the firm enjoyed robust demand from logic and foundry customers. However, management noted it has recently seen pushouts and some delays in new customer fabs that will impact the firm’s near-term results. Specifically, second-quarter sales are expected to be down about 6% sequentially at the midpoint of guidance, followed by a 10% decline in revenue for the second half of 2023 relative to the first half. Shares fell 10% in response to the results and now trade close to our unchanged fair value estimate of EUR 300 per share.
Stock Analyst Note

ASM International reported fourth-quarter results ahead of management’s guidance, as the firm benefited from robust demand for its atomic layer deposition, or ALD, equipment from logic and foundry customers. These tools are critical in leading-edge process technologies that feature multiple patterning and will be increasingly critical in future inflections such as gate-all-around transistors. During the quarter, the firm closed its acquisition of LPE, which sells silicon carbide epitaxy tools for power applications. We like this deal for ASM as it expands its epitaxy portfolio to a promising market. Based on the increasing use of silicon carbide devices within electric vehicles, management sees demand for LPE’s epitaxy tools growing at a 25% CAGR from 2021 to 2025. We are raising our fair value estimate to EUR 300 per share from EUR 280 per share as we increase our outlook for no-moat ASM in 2023. Shares look fairly valued at current levels.
Company Report

ASM International is a semiconductor equipment vendor that specializes in atomic layer deposition, or ALD, tools, which are used by chipmakers to produce thin and conformal films on wafers with precise control of thickness and composition at an atomic level. Traditionally employed only when absolutely needed because of how costly the equipment is (and lengthy process times), ALD tools are now increasingly being used as a result of continued scaling in line with Moore’s law. Specifically, 3D architectures in nonvolatile memory and advanced logic and foundry process technologies require layers that are highly uniform.
Stock Analyst Note

ASM International reported third-quarter results ahead of management’s guidance, as the firm benefited from strong demand for its atomic layer deposition, or ALD, equipment from logic and foundry customers. These tools are critical in advanced process technologies that feature multiple patterning and will be increasingly critical in future inflections such as gate-all-around transistors. Despite solid results, ASM continued to experience challenging supply chain conditions that likely curbed sales during the quarter. Management expects the wafer fab equipment, or WFE, market to grow in a high-single-digits percentage for 2022 (down from the midteens) due to the latest export restrictions to China and slowing memory demand. This outlook is consistent with our latest WFE expectations. Shares are trading at a modest discount to our unchanged fair value estimate of EUR 280 per share. We recommend prospective investors wait for a wider margin of safety before committing capital to no-moat ASM.
Stock Analyst Note

ASM International reported second-quarter results in line with management’s guidance, as the firm benefited from strong demand for its atomic layer deposition, or ALD, equipment from logic and foundry customers. These tools are critical in advanced process technologies that feature multiple patterning. Similar to peers, ASM experienced challenging supply chain conditions that likely curbed sales during the quarter. Management expects the wafer fab equipment, or WFE, market to grow in the mid- to high-teens percentage for 2022, primarily due to robust logic and foundry spending from the likes of TSMC and Intel. We note this outlook is consistent with our WFE expectations. Shares are trading at a modest discount to our unchanged fair value estimate of EUR 280 per share. We recommend prospective investors wait for a wider margin of safety before committing capital to no-moat ASM.
Stock Analyst Note

ASM International reported first-quarter results in line with management’s guidance, as the firm benefited from strong demand for its atomic layer deposition, or ALD, equipment from logic and foundry customers. These tools are critical in advanced process technologies that feature multiple patterning. Similar to peers, ASM experienced challenging supply chain conditions that likely curbed sales during the quarter. Management expects the wafer fab equipment, or WFE, market to grow in the mid- to high teens percentage for 2022, primarily due to robust logic and foundry spending from the likes of TSMC and Intel. We note this outlook is consistent with our wafer fab equipment expectations. Shares are trading at a premium to our unchanged fair value estimate of EUR 280 per share. We recommend prospective investors wait for a wider margin of safety before committing capital to no-moat ASM. Furthermore, we think shares of wide-moat ASML look more attractive relative to our fair value of EUR 696 ($800) for the lithography leader.
Company Report

ASM International is a semiconductor equipment vendor that specializes in atomic layer deposition, or ALD, tools, which are used by chipmakers to produce thin and conformal films on wafers with precise control of thickness and composition at an atomic level. Traditionally employed only when absolutely needed because of how costly the equipment is (and lengthy process times), ALD tools are now increasingly being used as a result of continued scaling in line with Moore’s law. Specifically, 3D architectures in nonvolatile memory and advanced logic and foundry process technologies require layers that are highly uniform.
Stock Analyst Note

ASM International reported fourth-quarter results at the high end of management’s guidance, as the firm benefited from strong demand for its atomic layer deposition, or ALD, equipment from logic and foundry customers. These tools are critical in advanced process technologies that feature multiple patterning. Similar to peers, ASM experienced challenging supply chain conditions that likely curbed sales during the quarter. Management expects the wafer fab equipment, or WFE, market to grow in the mid- to high-teens percentage for 2022, primarily due to robust logic and foundry spending from the likes of TSMC and Intel. We note this outlook is consistent with our WFE expectations. Shares are trading at a modest discount to our unchanged fair value estimate of EUR 280 per share. We recommend prospective investors wait for a wider margin of safety before committing capital to no-moat ASM.
Stock Analyst Note

ASM International reported third-quarter results slightly above the high end of management’s guidance, as the firm benefited from strong demand for its atomic layer deposition, or ALD, equipment from logic and foundry customers. These tools are critical in advanced process technologies that feature multiple patterning. Management expects the wafer fab equipment, or WFE, market to grow in the mid- to high-30s percentage for 2021, thanks to a combination of robust foundry spending and improved memory investments. After incorporating a stronger growth outlook for both ASM and the broader WFE market, we are raising our fair value estimate to EUR 280 per share from EUR 242 for no-moat ASM.
Company Report

ASM International is a semiconductor equipment vendor that specializes in atomic layer deposition, or ALD, tools, which are used by chipmakers to produce thin and conformal films on wafers with precise control of thickness and composition at an atomic level. Traditionally employed only when absolutely needed because of how costly the equipment is (and lengthy process times), ALD tools are now increasingly being used as a result of continued scaling in line with Moore’s law. Specifically, 3D architectures in nonvolatile memory and advanced logic and foundry process technologies require layers that are highly uniform.
Company Report

ASM International is a semiconductor equipment vendor that specializes in atomic layer deposition, or ALD, tools, which are used by chipmakers to produce thin and conformal films on wafers with precise control of thickness and composition at an atomic level. Traditionally employed only when absolutely needed because of how costly the equipment is (and lengthy process times), ALD tools are now increasingly being used as a result of continued scaling in line with Moore’s law. Specifically, 3D architectures in nonvolatile memory and advanced logic and foundry process technologies require layers that are highly uniform.

Sponsor Center